基于FPGA的电梯控制系统
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1673-5811.2016.09.179

基于FPGA的电梯控制系统

引用
本模型以Altera公司CycloneⅡ系列的EP2C8Q208C8N FPGA为控制芯片,通过Verilog HDL编程,采用模块化设计,充分利用了FPGA中的各种指令优化程序,实现对电梯的智能控制,该系统运行可靠性高,抗干扰能力强,保证电梯安全有效的运行.为实现题目要求的功能和精度,我们采用了多种芯片,如高精度的16位AD转换器AD7705,利用该芯片可以实现高精度和强干扰的性能;通过OP07构成的三运放仪表放大器精确的放大了称重传感器的信号;使用24C08实现了重量和额定载重的存储;使用L298N电机驱动芯片是电机控制更为简单可靠;同时为使我们的作品更加成熟和人性化,我们使用SC1020B语音芯片来语音播报楼层号和报警;把电梯运行状态无线传输给监控室,在上位机上显示出当前电梯运行的所有状态,当电梯有求救信号时,上位机自动发送短信通知电梯维修人员,从而保证了电梯的安全运行.

EP2C8Q208C8N、FPGA、Verilog HDL AD7705、无线传输

TU8;O35

2016-07-25(万方平台首次上网日期,不代表论文的发表时间)

共2页

201-202

相关文献
评论
暂无封面信息
查看本期封面目录

中国科技投资

1673-5811

11-5441/N

2016,(9)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn