基于FPGA的千兆UDP/IP协议栈的实现及其在高速图像传输中的应用
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1671-1041.2020.03.011

基于FPGA的千兆UDP/IP协议栈的实现及其在高速图像传输中的应用

引用
设计在FPGA中实现了一套简化的干兆以太网UDP/IP协议栈,协议完全兼容IEEE802.3a干兆以太网标准,解决干兆以太网IP核移植性差和消耗FPGA资源量大的问题;设计FPGA采集CMOS摄像头图像数据的接口;利用UDP/IP协议栈完成采集的实时60f/s图像的传输;在wireshark上抓取图像数据,实验结果表明,该高速图像传输接口能够满足高速图像传输的设计预期.

FPGA、UDP/IP协议、千兆以太网、图像传输

27

TN911;TP393

2020-04-10(万方平台首次上网日期,不代表论文的发表时间)

共4页

38-41

相关文献
评论
暂无封面信息
查看本期封面目录

仪器仪表用户

1671-1041

12-1334/TH

27

2020,27(3)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn