VHDL设计中信号与变量的使用研究
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1673-1069.2014.19.201

VHDL设计中信号与变量的使用研究

引用
VHDL语言是现代电子设计的重要工具。数据对象是其中的重要语言要素,通常由常量、变量与信号等组成,一般情况下,这些要素在经过综合后可以引入寄存器,这样就能够产生相同的逻辑电路,与初始值的功效基本相同。语言要素中的常量和变量可以从计算机语言中找到与其对应的数据类型,并且这类常量和变量的语言行为与高级中的变量和常量基本相同。比较特殊的要素是信号,它的数据对象包含更多的硬件特征,这也是VHDL中最有特色的语言要素之一。本论文讲述的是常量和变量的相似之处,还有变量和信号的相同与不同之处,主要表现为定义位置、适用范围、延时行为特性等,并以实例加以验证。

VHDL、变量、信号

G42;TP3

2014-08-09(万方平台首次上网日期,不代表论文的发表时间)

共2页

297-298

相关文献
评论
暂无封面信息
查看本期封面目录

中小企业管理与科技

1673-1069

13-1355/F

2014,(19)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn