基于FPGA的H.264DCT算法的硬件实现
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1004-373X.2012.10.029

基于FPGA的H.264DCT算法的硬件实现

引用
二维离散余弦(DCT)在H.264视频编码中承担者信号从时域到频域变换的作用.在现场可编程逻辑门阵列(FPGA)上设计了高效的采用流水线结构的H.264 DCT硬件电路.首先,把二维4×4 DCT变换转换成二次一维DCT变换;其次,DCT变换之间加一个两端口的RAM,以实现数列的转置;最后,在项层设计一个有限状态机控制整个流程.该设计采用较少的资源实现了较好的功能,获得了可靠的实验结果.

二维离散余弦变换、FPGA、H.264、DCT

35

TN911-34

2012-07-17(万方平台首次上网日期,不代表论文的发表时间)

共4页

90-92,96

相关文献
评论
暂无封面信息
查看本期封面目录

现代电子技术

1004-373X

61-1224/TN

35

2012,35(10)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn