SystemC在Turbo码实现和验证中的应用
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1004-373X.2009.05.050

SystemC在Turbo码实现和验证中的应用

引用
在简述System C的设计方法和流程的基础上,针对SystemC在硬件芯片系统级设计和寄存器传输级设计的特点,以Turbo编码器为对象和开发目的,研究了SystemC基于寄存器传输级设计的可实现性,利用SystemC的模块化功能,通过分析Turbo编码器的结构与信号流图,进行建模仿真直到最后完成划分硬件模块与编程并在FPGA完成其实现与验证,充分证明了SystemC完全适用于基于寄存器传输级设计的IC应用.此外,此设计将系统级设计与寄存器传输级设计的工作合二为一,大大节省了开发的流程时间.

SystemC、Turbo、系统级设计、寄存器传输级、FPGA、测试平台

32

TP274(自动化技术及设备)

2009-04-24(万方平台首次上网日期,不代表论文的发表时间)

共4页

163-166

相关文献
评论
暂无封面信息
查看本期封面目录

现代电子技术

1004-373X

61-1224/TN

32

2009,32(5)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn