基于FPGA的温控定时喷灌系统设计
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1008-0570.2007.32.088

基于FPGA的温控定时喷灌系统设计

引用
文章在MAX+PLUS Ⅱ开发环境下采用VHDL语言,设计并实现了温控定时喷灌系统,讨论了系统的三个组成模块的设计和VHDL实现.整体的生成采用图形输入法.波形仿真及下载芯片测试表明该设计方案是可行的.该设计首次实现了温度控制下的定时喷灌系统.

喷灌、硬件描述语言、现场可编程门阵列

23

TN4(微电子学、集成电路(IC))

国家自然科学基金60602062;赤峰学院科学研究基金ZRYB200608

2008-04-16(万方平台首次上网日期,不代表论文的发表时间)

共3页

216-217,239

相关文献
评论
暂无封面信息
查看本期封面目录

微计算机信息

1008-0570

14-1128/TP

23

2007,23(32)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn