一种FPGA芯片中DSP模块的内建自测试方法
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

一种FPGA芯片中DSP模块的内建自测试方法

引用
提出了一种针对Xilinx Virtex-4/5系列FPGA芯片中嵌入式数字信号处理器(DSP)的内置自检测试(BIST)和故障诊断方法.该方法可以对DSP电路中乘法器和加法器进行有效的测试,缩短测试时间,减少工作量.同时通过更改DSP的配置信息来实现全芯片DSP的功能测试,提高了DSP模块的测试故障覆盖率.

内置自检测、乘法器测试、加法器测试、DSP、FPGA、Virtex-4

17

TN407(微电子学、集成电路(IC))

2017-11-13(万方平台首次上网日期,不代表论文的发表时间)

共4页

9-12

相关文献
评论
暂无封面信息
查看本期封面目录

电子与封装

1681-1070

32-1709/TN

17

2017,17(10)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn