基于DDS的移频调制信号的生成
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1674-2230.2018.03.015

基于DDS的移频调制信号的生成

引用
在分析载波移频信号特点的基础上,提出了用DDS结合正交调制器来实现移频调制的方法.该方法以FPGA为核心,结合外部DA、滤波器实现DDS,通过FPGA编程灵活快捷的实现固定移频、扫频、多音等多种移频调制;同时针对工程应用中对指标调试的需求,在传统DDS结构基础上,在FPGA内部引入参数调整模块,实现了载波抑制和输出功率指标的实时数字化调整.该方法具有结构简单,性能优良,可扩展性强,调试方便的特点.

移频、多普勒频率、DDS、正交调制

33

TN761(基本电子电路)

2018-07-20(万方平台首次上网日期,不代表论文的发表时间)

共5页

73-77

相关文献
评论
暂无封面信息
查看本期封面目录

电子信息对抗技术

1674-2230

51-1694/TN

33

2018,33(3)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn