基于VHDL的四路抢答器的设计实现
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

基于VHDL的四路抢答器的设计实现

引用
VHDL是一种标准的硬件描述语言,是当今电子设计自动化( EDA)的核心技术,越来越多的硬件设计者使用VHDL描述数字系统。本文通过四路抢答器的设计实例,介绍了利用VHDL设计电路的流程和方法,突出了它与传统的电子电路设计方法相比较而表现出的优越性。

EDA技术、数字系统、VHDL语言、抢答器、设计

TP311(计算技术、计算机技术)

2015-06-10(万方平台首次上网日期,不代表论文的发表时间)

共3页

93-95

相关文献
评论
暂无封面信息
查看本期封面目录

电脑知识与技术

1009-3044

34-1205/TP

2015,(9)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn