一种基于FPGA的新型移相PWM发生器
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1001-2303.2012.01.011

一种基于FPGA的新型移相PWM发生器

引用
在逆变开关电源中,隔离变压器通常要求正、反方向的磁通变化量相等,以防止偏磁等现象发生,在移相全桥DC-DC开关电源中尤为重要.介绍了一种基于FPGA的移相PWM(pulse width modulation)发生器,该发生器主要包括分频模块、计数模块、比较模块和死区控制模块等;该发生器不仅将移相PWM数字化,且考虑了逆变全桥DC-DC开关电源中隔离变压器的工作特点.仿真结果表明,该发生器实现了移相PWM输出,可以满足逆变电源的工作要求.

FPGA、移柱PWM、逆变电源、全桥DC/DC

42

TG409(焊接、金属切割及金属粘接)

2012-04-27(万方平台首次上网日期,不代表论文的发表时间)

共3页

44-46

相关文献
评论
暂无封面信息
查看本期封面目录

电焊机

1001-2303

51-1278/TM

42

2012,42(1)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn