励磁系统中可控硅触发脉冲的FPGA编程实现
万方数据知识服务平台
应用市场
我的应用
会员HOT
万方期刊
×

点击收藏,不怕下次找不到~

@万方数据
会员HOT

期刊专题

10.3969/j.issn.1000-3983.2007.01.013

励磁系统中可控硅触发脉冲的FPGA编程实现

引用
可控硅触发脉冲产生电路适用于单相、三相全控桥式供电装置中.一般可控硅移相触发电路采用硬件实现.本文中利用FPGA芯片由VHDL语言编程实现,可以更准确、简便地产生可控硅移相触发所需的双窄脉冲.与硬件电路实现相比,FPGA(现场可编程门阵列)的实现不但能有效防止由于可控硅换流而引起的误控制,而且集成度高,更精确和灵活.

可控硅、移相触发、FPGA、VHDL

TM301.2(电机)

2007-04-10(万方平台首次上网日期,不代表论文的发表时间)

共3页

49-51

相关文献
评论
暂无封面信息
查看本期封面目录

大电机技术

1000-3983

23-1253/TM

2007,(1)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn